Mylinking™ Network Tap ML-TAP-1410

12*GE SFP faaopoopo 2*10GE SFP+, Max 32Gbps

Fa'amatalaga Puupuu:

Mylinking™ Network Tap o le ML-TAP-1410 e o'o atu i le 32Gbps le gafatia e fa'agaoio ai le vaeluaga po'o le fa'ata fa'ata avanoa avanoa.E lagolagoina le maualuga o 2 * 10 GIGABit SFP + slots (fesoʻotaʻi ma 1 GIGABit) ma 12 * 1 gigabit SFP slots, lagolago fetuutuunai 10G ma 1G single/multi-mode optical modules ma 10 gigabit ma 1 gigabit eletise eletise.Lagolago le LAN / WAN mode;Lagolagoina le fa'amamaina ma le fa'auluina o pepa e fa'atatau i le puna puna, quintuple standard protocol domain, source/destination MAC address, IP fragment, transport layer port range, Ethernet type field, VLANID, MPLS label, ma le TCPFlag fixed offset feature.Lagolagoina fa'ameamea mata'itusi mo masini mata'ituina o BigData Su'esu'ega, Su'esu'ega Fa'akomepiuta, Su'esu'ega Fa'ailoga, Su'esu'ega Puipuiga, Pulega Tulaga lamatia ma isi felauaiga mana'omia.


Fa'amatalaga Oloa

Faailoga o oloa

1- Va'aiga lautele

  • Ose fa'atonuga va'aia atoatoa o le mea e maua ai fa'amaumauga (2*10GE SFP+ fa'atasi ma 12*GE SFP ports)
  • Ose fa'amaumauga atoa o feso'ota'iga feso'ota'iga fa'atonu masini fa'atonutonu (duplex Rx/Tx processing)
  • Ose feso'ota'iga atoa fe'avea'i fa'agaioiga ma toe tufatufaina atu masini (bidirectional bandwidth 32Gbps)
  • Lagolagoina o feso'ota'iga fefa'ataua'iga aoina ma le mauaina o feso'ota'iga fa'amaumauga mai nofoaga eseese elemene feso'otaiga
  • Lagolagoina L2-L7 packet network filtering ma fetaui, e pei o le SMAC, DMAC, SIP, DIP, Taaloga, Dport, TTL, SYN, ACK, FIN, ituaiga Ethernet fanua ma le tau, numera IP protocol, TOS, ma isi foi lagolago fetuutuunai tuufaatasiga e oʻo atu i le 2000 tulafono faʻamamaina.
  • Lagolagoina le fetaui UDF o so'o se matā'upu autu i le 128 paita muamua o se afifi.E mafai e le tagata fa'aoga ona fa'avasega le tau o le UDF ma le umi o le fanua autu ma le anotusi, ma fuafua le faiga fa'avae o felauaiga e tusa ai ma le fa'atulagaina o tagata.
  • Lagolagoina ia iloa le le talafeagai o le afifiina pito i luga o le Ethernet felauaiga agai i luma, lagolagoina ituaiga uma o Ethernet afifiina protocols, ma faapena foi 802.1q/q-in-q, IPX/SPX, MPLS, PPPO, ISL, GRE, PPTP, etc protocol packaging
oloa-fa'amatalaga1

ML-TAP-1410

2- Ata poloka poloka

oloa-fa'amatalaga2

3- Fa'avae Fa'atino

oloa-fa'amatalaga3

4- Atamai Feso'ota'iga Feso'ota'iga Fa'agaioiina Avanoa

fa'amatalaga o oloa

ASIC Chip Plus TCAM CPU
32Gbps atamai fesoʻotaʻiga fesoʻotaʻiga gaioiga gafatia

oloa-fa'amatalaga1

10GE Ta'avale Ta'avale
10GE 2 ports, Max 2*10GE fa'atasi ai ma 12*GE ports Rx/Tx duplex process, e o'o atu i le 32Gbps Traffic Data Transceiver i le taimi e tasi, mo feso'ota'iga Mauaina o Fa'amaumauga, feoaiga faigofie po'o le pa'u Fa'agasolo Muamua.

fa'amatalaga o oloa (2)

Feso'ota'iga Feso'ota'iga Replication
Fa'asolo mai le pusa mai le 1 uafu i le tele o ports N, po'o le tele o ports N fa'apotopotoina, ona toe fa'atusa lea i le tele o ports M.

fa'amatalaga o oloa (3)

Feso'ota'iga Feso'ota'iga Fe'avea'i
Fa'asolo mai le pusa mai le 1 uafu i le tele o ports N, po'o le tele o ports N fa'apotopotoina, ona toe fa'atusa lea i le tele o ports M.

fa'amatalaga o oloa (4)

Fa'asoa Feso'ota'iga Fe'avea'i
Fa'avasega sa'o le metdata o lo'o o'o mai ma lafoa'i pe tu'u atu 'au'aunaga fa'amatalaga eseese i le tele o galuega fa'akomepiuta e tusa ai ma tulafono fa'atonu a le tagata fa'aoga.

fa'amatalaga o oloa (5)

Filifiliga Fa'amatalaga/Packet
Lagolagoina L2-L7 packet filtering fetaui, e pei o le SMAC, DMAC, SIP, DIP, Taaloga, Dport, TTL, SYN, ACK, FIN, ituaiga Ethernet fanua ma le tau, numera IP protocol, TOS, ma isi foi lagolago fetuutuunai tuufaatasiga o luga. i le 2000 tulafono fa'amama.

fa'amatalaga o oloa

Paleni uta
Lagolagoina le paleni o uta Hash algorithm ma le fa'asoaina o le mamafa o le vasega algorithm e tusa ai ma uiga o le L2-L7 e fa'amautinoa ai le malosi o felauaiga o le uta

fa'amatalaga o oloa (6)

UDF Faafetaui
Lagolagoina le fetaui o so'o se matā'upu autu i le 128 paita muamua o se afifi.Fa'asinomaga le Tau Offset ma Key Field Length ma le anotusi, ma le fuafuaina o faiga fa'avae o fefa'ataua'iga e tusa ai ma le fa'aogaina o tagata fa'aoga.

fa'amatalaga o oloa (7)

VLAN Fa'ailoga

fa'amatalaga o oloa (8)

VLAN le fa'ailoga

fa'amatalaga o oloa (9)

VLAN Suia

Lagolagoina le fetaui o so'o se matā'upu autu i le 128 paita muamua o se afifi.E mafai e le tagata fa'aoga ona fa'avasega le tau fa'atau ma le umi o le fanua autu ma le anotusi, ma fuafua le faiga fa'avae o felauaiga e tusa ai ma le fa'atulagaina o tagata.

fa'amatalaga o oloa (10)

Suiga tuatusi MAC
Lagolagoina le suia o le tuatusi MAC o loʻo i ai i le faʻamaumauga muamua, lea e mafai ona faʻatinoina e tusa ai ma le faʻaogaina o le tagata faʻaoga.

fa'amatalaga o oloa (11)

3G/4G Mobile Protocol Recognition/Classification
Lagolagoina e iloa ai elemene fesoʻotaʻiga feaveaʻi e pei o (Gb, Gn, IuPS, S1-MME, S1-U, X2-U, S3, S4, S5, S6a, S11, ma isi mea.E mafai ona e fa'atinoina faiga fa'avae o fefa'ataua'iga e fa'atatau i foliga e pei o le GTPV1-C, GTPV1-U, GTPV2-C, SCTP, ma le S1-AP e fa'atatau i fa'aoga fa'aoga.

fa'amatalaga o oloa (12)

Taulaga Soifua Maloloina Su'esu'ega
Lagolagoina taimi moni su'esu'ega o le soifua maloloina faagasologa o le pito i tua mata'ituina ma au'ili'ili meafaigaluega po'o meafaigaluega e feso'ota'i atu i va'aiga eseese.A le manuia le faagasologa o auaunaga, o le a otometi lava ona aveese le masini faaletonu.A maeʻa ona toe faʻaleleia le masini faʻaletonu, e otometi lava ona toe foʻi le faiga i le vaega o le paleni o uta e faʻamautinoa ai le faʻamaoni o le paleni uta tele-taulaga.

fa'amatalaga o oloa (13)

VLAN, MPLS Le Fa'ailoga
Lagolagoina le VLAN, MPLS fa'aulu fa'aulu i le ulua'i pepa fa'amaumauga mo galuega.

fa'amatalaga o oloa (14)

Tunneling Protocol Identify
Lagolagoina otometi fa'ailoaina tunneling protocols eseese, e pei o le GTP / GRE / PPTP / L2TP / PPPOE.E tusa ai ma le faʻatulagaina o tagata faʻaoga, e mafai ona faʻatinoina le taʻiala o fefaʻatauaiga i luga o le pito i totonu poʻo fafo o le alavai

fa'amatalaga o oloa (15)

Tu'ufa'atasi Pulea Platform
Lagolagoina le mylinking™ Visibility Control Platform Access

fa'amatalaga o oloa (16)

1+1 Fa'agasolo Malosiaga (RPS)
Lagolagoina 1 + 1 Dual Redundant Power System

5- Mylinking™ Network Tap Fa'atonuga Talosaga

5.1 Mylinking™ Network Tap GE i le 10GE Fa'amatalaga Fa'aopoopo Fa'amatalaga (pei o lo'o mulimuli mai)

fa'amatalaga o oloa4

5.2 Mylinking™ Network Tap 1/10GE Fa'amatalaga Fa'asoa Fa'amatalaga (pei o lo'o mulimuli mai)

oloa-fa'amatalaga6

5.3 Mylinking™ Network Tap Hybrid Network Traffic Capture Application (pei ona mulimuli mai)

oloa-fa'amatalaga5

5.4 Mylinking™ Network Tap Customazition Traffic Monitoring Application (e pei ona mulimuli mai)

oloa-fa'amatalaga7

6- Fa'amatalaga

Mylinking™ Network Tap  NPB/TAP Fa'atinoga Fa'atino

Fesootaiga Fesootaiga GE uafu

12*GE SFP avanoa

10GE uafu

2*10GE SFP+ avanoa

Faiga fa'atulagaina

SPAN mata'ituina mea e fai

lagolago

Faiga i-laina

lagolago

Aofaiga QTYs atoa

14

Fa'asologa o ta'avale / fa'apotopotoina / tufa

lagolago

Feso'ota'i QTYs lagolago fa'atusa fa'ata / fa'atasiga

1 -> N feso'ota'iga fa'asologa o fefa'ataua'iga (N <14)

N-> 1 feso'ota'iga fa'asalalauga fe'avea'i (N <14)

G Group(M-> N So'oga) fa'asologa o feoaiga ma fa'aputuga [G * (M + N) <14]

Galuega

Fa'asoa fa'atatau ile fa'ailoaina o ta'avale

lagolago

Fa'asoa fa'atatau ile IP / protocol/port Five tuple traffic identification

lagolago

Fuafuaga fa'asoa fa'atatau ile fa'auluuluga o fa'asalalauga e fa'ailoa mai e le fa'ailoga autu

lagolago

Fa'asoa fa'ata'atia e fa'avae i luga ole fa'ailoaga loloto o le fe'au

lagolago

Lagolago Ethernet encapsulation tutoatasi

lagolago

CONSOLE Pulega o Fesootaiga

lagolago

Puleaina o Fesootaiga IP/WEB

lagolago

SNMP V1/V2C Pulega Fesootaiga

lagolago

TELNET/SSH Pulega Fesootaiga

lagolago

SYSLOG Protocol

lagolago

Galuega fa'amaoni a le tagata Fa'amaoniga o upu fa'amaonia e fa'atatau ile igoa ole tagata fa'aoga

Fa'aeletise(1+1 Malosiaga Fa'aleaogaina-RPS)

Fuafuaina sapalai voltage

AC110-240V/DC-48V [Filifili]

Fa'atatau ole malosi ole taimi

AC-50HZ

Fa'ailoga fa'aoga i le taimi nei

AC-3A / DC-10A

Fa'atonuga galuega fa'atino

150W(2401:100W )

Siosiomaga

Galulue Vevela

0–50 ℃

Teuga vevela

-20-70 ℃

Susū Fa'agaioiga

10% -95%, E le fa'asa'o

Fa'aoga Fa'aoga

Configuration Console

RS232 Interface, 9600,8,N,1

Fa'amaonia upu fa'amaonia

lagolago

maualuga fata

Avanoa fata (U)

1U 460mm*45mm*440mm

7- Fa'amatalaga Fa'atonu

ML-TAP-2401 mylinking™ Network Tap 24*GE SFP ports
ML-TAP-1410 mylinking™ Network Tap 12*GE SFP ports fa'aopoopo 2*10GE SFP+ ports
ML-TAP-2610 mylinking™ Network Tap 24*GE SFP ports fa'aopoopo 2*10GE SFP+ ports
ML-TAP-2810 mylinking™ Network Tap 24*GE SFP ports fa'atasi ai ma ports 4*10GE SFP+


  • Muamua:
  • Sosoo ai:

  • Tusi lau savali iinei ma lafo mai ia i matou